CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - cpld vh

搜索资源列表

  1. CIC.rar

    0下载:
  2. cpld/fpga积分梳状滤波器(CIC)设计,cpld/fpga Integral comb filter (CIC) design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:1311
    • 提供者:陈臣
  1. an501_design_example

    0下载:
  2. PWM文件 用于CPLD,学习如何用VHDL语言写程序-PWM files for CPLD, learn how to write VHDL language program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:286051
    • 提供者:xiaox
  1. cpld

    0下载:
  2. CPLD VHDL 数码管程序 流水灯程序 时钟程序 -CPLD VHDL program LED lights water clock procedures procedures CPLD VHDL program LED lights process water clock procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:476641
    • 提供者:朱工
  1. 48led

    0下载:
  2. 此软件用的是QuartusII 5.1的环境编写的CPLD内的程序,CPLD用的是EPM7128,实现的功能是对计算机的ISA总线读写操作,计算机通过ISA总线,再通过CPLD,来控制LED的亮和灭-This software is used in the preparation of QuartusII 5.1 environment within the CPLD procedures, CPLD using EPM7128, the function of the realization
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:201867
    • 提供者:hujianhua
  1. counter-CPLD

    0下载:
  2. CPLD学习,用VHDL,应用EPM7032,一个138,373和273的例程-CPLD study, using VHDL, application EPM7032, one of the routines 138,373, and 273
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:100360
    • 提供者:YAN
  1. 2263842c-8d93-4ab9-9418-be31e75d11f1

    0下载:
  2. 我是用cpld来控制ad9910的控制管脚。附件是关于AD9910测试的一些程序,和相应的一些说明,包含有代码。-I used to control the ad9910 cpld control pin. Attached is some of the procedures on the AD9910 test, and the corresponding number of instructions contains code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:139227
    • 提供者:张沙
  1. CPLD

    1下载:
  2. CPLD编程,处理两路编码器的信号,可以将信号四倍频。同时能够控制IO的输入输出信号。-cpld program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-28
    • 文件大小:353280
    • 提供者:孙鹏飞
搜珍网 www.dssz.com